电子工程师 .28 No.2 2002 基于可编程逻辑器件的. ̄m-J-器设计 Design of a Timer Based on CPLD 北方工业大学 (100041) 张常年 王振红 【摘 要】介绍了用EDA技术实现的99分钟内定时。本系统基于VHDI 语言,以 :PI D为核 。 关键词:VHDL语言,CPLD,定时器 [Abstract]It introduced the 99 minute inner timing based on EDA technology.The ystem is based on VHDI language and takes CPI D)evice)as its core. Keywords:V14DL,CPLD,timer (Complex Programmable Logic 传统的99分钟定时器的设计,硬件连线复杂, 可靠性差。而采用CPLD、基于VHDL语言的设计, 则硬件简单,性能稳定,充分体现了可编程逻辑器件 在数字电路中的优越性。 模块和译码器模块。 _一—11MER一‘ C吼 Q[6 0 l系统设计 图2 TIMER模块 1.1设计要求 该定时器的设计要求如下:整体清零;可以定时 最高到99分钟;以秒速度递增置预定时间,以分速 度递减至零,报警或启动其它电路。 采用的硬件:主芯片为MAX7128S1 C84—1 5;时 钟信号,提供秒信号;两位数码管 (3)各个模块分析 计数模块:输人秒信号,输出两个四位的BCD 码.分别用来表示个位与十位;可整体复位清零;可 以秒的速度递增,最高到99,实现置位;以分的速度 递减到零,实现计时的功能。 出、到译码器。 1.2设计思想 该定时器的设计思想是基于VHDI 语言,使用 Top—Down的设计方法。 二选一选择器模块:对个位与十位循环扫描输 (1)定时器总体架构,如图1所示。 鞋 译码,输出到数码管。 译码器模块:对输人的四位BCD码进行七段码 1.3系统各部分的设计 模块图如图2所示取名为TIMER。图中,RES 用来整体复位清零;CI K提供了秒信号,频率为 1Hz;CI KIII是用来扫描输出的,选用频率大于 50Hz的时钟;CN用来置位,高电平时有救,将以秒 图1总体架构 的速度,从零递增到所需定时的时间,再以分的速 (2)各部分分析 控制计数部分:由一个计数模块构成.用来实现 计数等主要功能。 度,实现定时,直到零,结柬,COUT输出高电平,可 管上显示出来。构成如图3所示。 下面对各个模块进行分析: 启动各种电路或发出警报,时间的变化部将在数码 显示部分:对计数结果进行扫描译码输出显示, 输出接数码管,分为两个模块,分别是2选一选择器 收稿日期:2001—12 1 4 ・58・ (1)ctrl:如图4所示。计数模块,这是核心部分; 维普资讯 http://www.cqvip.com
张常年.等:基于可璃程逻辑器件的定时器设计 res复位,清零,采用异步复 位。cn置位,高电平有效。tOUt l9l 定时结束,产生高电平。I ow, High为输出四位BCD码,用 于显示。Cn有救时,clk脉冲 上升沿到来.计数加I;低电平 时,置位结束,进人计时阶段, 每60个时钟,相当于一分钟, 发出一个脉冲,使输出计数减 图3构成 l1]clk】 1,直至到零结束,使cout位高电 平 displow<= 0000 源程序如下: library ieee; u e ieee.stcl 1ogle—if disphigh一0 then tout<:’1’E l164.all; unsigned.all: end L{ use ieee.std entity ctr[is logic elsif(disphigh>0)then disphigh<=disphigh一’1’; port(on,res,clk,ln std cout:OUt std—logic{ displow<一 1001 endif; logic; logic —low,highIoqt stdend ctr】; vector(3 downto 0)); endif; endif; endif; architecture rtl of erel Is signal displow,disphigh≈std—logic—vector(3 end process# downto 0); high<=disphigh; low<=displow; end rtl begin a:process(elk,e/l,re¥) Varlable cm ̄integer range 0 to 5g begin (2)sel2t 2选一选择模块,如图5所示,接收由 ctrl输出的四位BCD码,通过选择信号sel进行选 择输出。 if(res一’0’)then displow<一 0000”: disphigh<一 0000 f cnt≈=0; COilt<一’0’E 【 elsif(clk’event and clk=’1’)then if cn=’1’then if displow< 1001 then 图4 ctrl模块 j : 图5 sel2模块 源程序如下: entity sel2 is 互 二二 .....一. ..、disp[ow<=displow+’1’: else displow< 0000 : : 图6 disp模堤 std downto 0); sel:in std logic: port(dl,d2:in logic vector(3 disphigh<=disphlgh+’1’: endl{; else if cnt<59 then cnr{一cnr+1‘ else cnt:一0; q:Otlt std logic vector(3 downto 0)) end sel2; architecture rtI of sel2 is gin if displow>1 then q<=dl when sel一’1’else dg; end rt1; ・59・ disp[ow< ̄displow--’1’{ elsif displow一1 then 维普资讯 http://www.cqvip.com
电子工程师 (3)disp:如图6所示.译码m-res 0 .28 .2 2002 器模块,对输入的四位BCD码 进行七段码译码,输出7位, _ (0)到q(6)分别接数码管的a 段到g段。 m 源程序如下: entity disp is 图7仿真图 vector(3 downto 0); port(d:in std logic q:OUt std Ioglc—vector(6 downto O)); end disp; 对系统进行仿真,得到预期的效果,不便将整个 图如图7所示。 仿真图在此显示;对主要部分计数器进行仿真,仿真 architecture rtl of disp is begin process(d) begin case dis when”0000”=>q< 3综合测试 所设计的定时器在实际应用中取得很好的效 果,而且体积小.外设简单,很值得推广,可取代以前 的74系列和其他复杂的连线。 when others=>q< end case; end process; end rtl; 参考文献 1 曾繁泰,陈美金著.VHDL程序设计.北京:清华大学出 版杜,2001年2月 2系统仿真 鸯 i . 蛙 蓝 譬≤ 毒f 2 张常年,李洋.基于VHDL语言的远程控制家电系统.计 算机应用,2001(8)■ 兼容BSN 6040,并将满足蓝牙开发人员对全带宽、 最佳连接、最短数据传输时间以及极低功耗的要求。 德州仪器公司(TI)日前宣布推出一款新型的, 基于RoM的蓝牙基带处理器,该产品满足了客户 在短距离无线连接方面与日俱增的需求。TI的新型 BSN6050基带解决方案显著降低了系统成本及所 需板级空间,该产品以5美元的批发价格提供r无 与伦比的高性能和全数据速率的蓝牙链接,从而能 够以经济实惠的价格推出适合新型大众市场消费的 产品。 BSN6O50在量产中实现了必不可少的、可靠使用的 固有稳定性,这代表了第四代处理器的显著特性。 善 《毒 ≤蓝#毒 ‘} 《 g葚 谣 ‰ 毒 (上接第57页) (2)采取防寄生振荡的措施 在该功率台成电路中,为了防止寄生振荡,具体 采取的措施有:加装电容器;将高频扼流圈绕在集电 极之间加反馈电阻等 (3)采取低压供电与功放保护措施 极的电阻上,以降低扼流圈的Q值;在集电极与基 BSN6050基带解决方案(基带一TRF6001 RF)专门为满足种类繁多的蓝牙产品应用要求而精 心设计,如无线信息终端(移动电话、PDA、打印机 等)、无线终端附件(电话和音乐/MP3播放器)、接 人点设备(例如家庭自动化)、汽车远程通信及娱乐 或PC外设等等。根据Strategy Analytics(www. strat gyanaIytks.com)公司的调查显示,美国移动 电话用户愿意在其手机中添加蓝牙技术,并为之支 付27 的额外费用。与现有的手机平均价格相比, 为功率合成电路提供的是直流+27V电源,且 上下支路由不同的电源部分分别供给,其且的是减 少各电路之间的相互影响。另外,在实际应用中,为 了保护射频功率放大管,还设置了如过热保护和负 载失配保护等电路 参考文献 1 李棠之,杜国新.通信电子线路.北京:电子工业出版社, 2000 BSN6050解决方案如此低廉的价格将会使这些用 户的美梦变为现实,从而也使制造商能够以用户愿 意接受的价格推出全套新型消费产品。 与其它TI蓝牙产品一样,BSN6050在功能上 ・6O・ 2王宝良等.超短波双频段地空通信电台.西安:空军电讯 工程学院,1996 3董在望,肖华庭.通信电路原理.北京:高等教育出版杜, l 989■
因篇幅问题不能全部显示,请点此查看更多更全内容